Skip to content

Popular repositories

  1. prjxray prjxray Public

    Documenting the Xilinx 7-series bit-stream format.

    Python 740 149

  2. f4pga-arch-defs f4pga-arch-defs Public

    FOSS architecture definitions of FPGA hardware useful for doing PnR device generation.

    Jupyter Notebook 249 108

  3. ideas ideas Public

    Random ideas and interesting ideas for things we hope to eventually do.

    86 9

  4. prjuray prjuray Public

    Documenting the Xilinx Ultrascale, Ultrascale+ and UltraScale MPSoC series bit-stream format.

    SystemVerilog 68 12

  5. prjxray-db prjxray-db Public

    Project X-Ray Database: XC7 Series

    Shell 61 29

  6. prjtrellis prjtrellis Public

    Forked from YosysHQ/prjtrellis

    Documenting the Lattice ECP5 bit-stream format.

    Python 47 3

Repositories

Showing 10 of 15 repositories

People

This organization has no public members. You must be a member to see who’s a part of this organization.

Top languages

Loading…

Most used topics

Loading…